Ano ang FinFET?

Talaan ng mga Nilalaman:

Ano ang FinFET?
Ano ang FinFET?
Anonim

Kung gusto mong ibalot ang iyong ulo sa isang mahalagang bahagi ng teknolohiya sa pag-compute-mula sa mga modernong smartphone hanggang sa mga high-end na desktop PC-kailangan mong maunawaan ang teknolohiya ng FinFET.

Ano ang FinFET?

Ang FinFET ay isang teknolohikal na inobasyon na nagbigay-daan sa mga tagagawa ng chip tulad ng Samsung, TSMC, Intel, at GlobalFoundries na bumuo ng mas maliliit at mas makapangyarihang mga bahagi ng kuryente.

Ito ay isang mahalagang bahagi ng modernong disenyo ng chip kung kaya't ginagamit ito sa marketing ng mga process node kung saan sila nakabatay. Ang isang halimbawa ay ang 7-nanometer (nm) na teknolohiya ng proseso ng FinFET sa core ng mga third-generation na Ryzen CPU ng AMD. Sa mga nakalipas na taon, ginamit ng Nvidia ang 16nm FinFET na teknolohiya ng TSMC at ang 14nm FinFET na teknolohiya ng Samsung sa 10 series na graphics card nito na binuo sa arkitektura ng Pascal.

Image
Image

Isang Teknikal na Breakdown ng FinFET Technology

Sa teknikal na antas, ang FinFET, o ang fin field-effect transistor, ay isang partikular na uri ng metal-oxide semiconductor transistor (MOSFET). Mayroon itong doble o triple-gate na istraktura na nagbibigay-daan sa mas mabilis na operasyon at mas malaking kasalukuyang density kaysa sa mga tradisyonal na disenyo. Ito rin ay humahantong sa mas mababang mga kinakailangan sa boltahe, na ginagawang mas matipid sa enerhiya ang disenyo ng FinFET.

Bagaman ang unang disenyo ng FinFET transistor ay binuo noong 1990s sa ilalim ng pangalan ng Depleted Lean-channel Transistor, o DELTA transistor, noong unang bahagi ng 2000s nalikha ang terminong FinFET. Ito ay isang uri ng acronym, ngunit ang bahaging "palikpik" ng pangalan ay iminungkahi dahil ang pinagmulan at mga rehiyon ng alisan ng tubig ng MOSFET ay bumubuo ng mga palikpik sa ibabaw ng silicon kung saan ito binuo.

FinFET Commercial Use

Ang unang komersyal na paggamit ng teknolohiya ng FinFET ay sa 25nm nanometer transistor na nilikha ng TSMC noong 2002. Kilala ito bilang disenyong "Omega FinFET," na may mga karagdagang pag-ulit sa ideyang ito sa mga sumunod na taon, kabilang ang Tri-Gate na variant ng Intel, na ipinakilala noong 2011 kasama ang 22nm Ivy Bridge microarchitecture nito.

Ang AMD ay nag-claim din na gumagawa ng katulad na teknolohiya noong unang bahagi ng 2000s, bagama't wala talagang naging materyal mula rito. Nang umalis ang AMD mula sa mga hawak nito sa GlobalFoundries noong 2009, permanenteng naputol ang produkto at mga gawa ng negosyo.

Simula noong 2014, lahat ng pangunahing tagagawa ng chip-kasama ang GlobalFoundries-nagsimulang gumamit ng teknolohiyang FiNFET batay sa 16nm at 14nm na teknolohiya, sa kalaunan ay pinaliit ang laki ng node sa 7nm sa mga pinakabagong pag-ulit.

Sa 2019, ang mga karagdagang teknolohikal na pag-unlad ay nagbigay-daan para sa mas malaking pagbabawas sa haba ng mga gate ng FinFET, na humahantong sa 7nm. Sa loob ng susunod na dalawang taon, maaari pa nga tayong makakita ng 5nm process technology para sa mas makapangyarihan at mahusay na mga CPU, graphics card, at System on Chip (SoCs). Gayunpaman, ang mga sukat ng node na ito ay tinatayang sa karamihan ng mga kaso at hindi palaging direktang maihahambing sa TSMC at pinakabagong 7nm na teknolohiya ng Samsung, na sinasabing halos maihahambing sa 10nm na proseso ng Intel.

Inirerekumendang: